Тема: VibroRace
Показать сообщение отдельно
Старый 26.12.2009, 23:48   #23
baton4ik
Дэвелопер
 
Аватар для baton4ik
 
Регистрация: 21.11.2009
Адрес: Киев
Сообщений: 1,699
Написано 657 полезных сообщений
(для 1,962 пользователей)
Счастье Ответ: VibroRace

Сообщение от SubZer0 Посмотреть сообщение

интересно было бы посмотреть результаты работы генератора случайных чисел с единоразовой переинициализацией.

Сказано - сделано.

06322
63310
20483
63518
35841

Результаты налицо: никакого отличия от процедуры-без-переинициализации

Но что же случится, если после 5 чисел вызвать randomize и вывести ещё 5 чисел??? мы видим заведомо предсказуемую картину: 13268 13268!
Вывод: при инициализации создаётся какой-то порядок. Он создаётся из информации о текущем запуске проги. Поэтому, если при одном запуске 2 раза произвести инициализацию, то числа из 2го будут идентичны первому. Это может быть полезно (мало ли, когда надо реализовать повтор рядя чисен от функции random. Тогда можно не записывать каждое число, а просто произвести переинициализацию!) Извиняюсь за привычный сумбур в сообщении

Последний раз редактировалось baton4ik, 28.12.2009 в 14:12.
(Offline)
 
Ответить с цитированием
Сообщение было полезно следующим пользователям:
SubZer0 (27.12.2009)